Chromium Code Reviews
chromiumcodereview-hr@appspot.gserviceaccount.com (chromiumcodereview-hr) | Please choose your nickname with Settings | Help | Chromium Project | Gerrit Changes | Sign out
(146)

Side by Side Diff: media/audio/BUILD.gn

Issue 774353003: gn format // (the rest) (Closed) Base URL: https://chromium.googlesource.com/chromium/src.git@master
Patch Set: rebase net Created 6 years ago
Use n/p to move between diff chunks; N/P to move between comments. Draft comments are only viewable by you.
Jump to:
View unified diff | Download patch
« no previous file with comments | « media/BUILD.gn ('k') | media/base/BUILD.gn » ('j') | no next file with comments »
Toggle Intra-line Diffs ('i') | Expand Comments ('e') | Collapse Comments ('c') | Show Comments Hide Comments ('s')
OLDNEW
1 # Copyright 2014 The Chromium Authors. All rights reserved. 1 # Copyright 2014 The Chromium Authors. All rights reserved.
2 # Use of this source code is governed by a BSD-style license that can be 2 # Use of this source code is governed by a BSD-style license that can be
3 # found in the LICENSE file. 3 # found in the LICENSE file.
4 4
5 import("//build/config/linux/pkg_config.gni") 5 import("//build/config/linux/pkg_config.gni")
6 import("//media/media_options.gni") 6 import("//media/media_options.gni")
7 7
8 # When libpulse is not directly linked, use stubs to allow for dlopening of the 8 # When libpulse is not directly linked, use stubs to allow for dlopening of the
9 # binary. 9 # binary.
10 if (!link_pulseaudio) { 10 if (!link_pulseaudio) {
11 action("pulse_generate_stubs") { 11 action("pulse_generate_stubs") {
12 extra_header = "pulse/pulse_stub_header.fragment" 12 extra_header = "pulse/pulse_stub_header.fragment"
13 13
14 script = "../../tools/generate_stubs/generate_stubs.py" 14 script = "../../tools/generate_stubs/generate_stubs.py"
15 sources = [ "pulse/pulse.sigs" ] 15 sources = [
16 inputs = [ extra_header ] 16 "pulse/pulse.sigs",
17 ]
18 inputs = [
19 extra_header,
20 ]
17 stubs_filename_root = "pulse_stubs" 21 stubs_filename_root = "pulse_stubs"
18 22
19 # TODO(ajwong): these need to be included in the pulse build. 23 # TODO(ajwong): these need to be included in the pulse build.
20 outputs = [ 24 outputs = [
21 "$target_gen_dir/pulse/$stubs_filename_root.cc", 25 "$target_gen_dir/pulse/$stubs_filename_root.cc",
22 "$target_gen_dir/pulse/$stubs_filename_root.h", 26 "$target_gen_dir/pulse/$stubs_filename_root.h",
23 ] 27 ]
24 args = [ 28 args = [
25 "-i", rebase_path("$target_gen_dir/pulse", root_build_dir), 29 "-i",
26 "-o", rebase_path("$target_gen_dir/pulse", root_build_dir), 30 rebase_path("$target_gen_dir/pulse", root_build_dir),
27 "-t", "posix_stubs", 31 "-o",
28 "-e", rebase_path(extra_header, root_build_dir), 32 rebase_path("$target_gen_dir/pulse", root_build_dir),
29 "-s", stubs_filename_root, 33 "-t",
30 "-p", "media/audio/pulse", 34 "posix_stubs",
35 "-e",
36 rebase_path(extra_header, root_build_dir),
37 "-s",
38 stubs_filename_root,
39 "-p",
40 "media/audio/pulse",
31 ] 41 ]
32 42
33 args += rebase_path(sources, root_build_dir) 43 args += rebase_path(sources, root_build_dir)
34 } 44 }
35 } 45 }
36 46
37 source_set("audio") { 47 source_set("audio") {
38 visibility = [ "//media/*" ] 48 visibility = [ "//media/*" ]
39 sources = [ 49 sources = [
40 "agc_audio_stream.h", 50 "agc_audio_stream.h",
(...skipping 112 matching lines...) Expand 10 before | Expand all | Expand 10 after
153 "android/audio_manager_android.cc", 163 "android/audio_manager_android.cc",
154 "android/audio_manager_android.h", 164 "android/audio_manager_android.h",
155 "android/audio_record_input.cc", 165 "android/audio_record_input.cc",
156 "android/audio_record_input.h", 166 "android/audio_record_input.h",
157 "android/opensles_input.cc", 167 "android/opensles_input.cc",
158 "android/opensles_input.h", 168 "android/opensles_input.h",
159 "android/opensles_output.cc", 169 "android/opensles_output.cc",
160 "android/opensles_output.h", 170 "android/opensles_output.h",
161 "android/opensles_wrapper.cc", 171 "android/opensles_wrapper.cc",
162 ] 172 ]
163 deps += [ 173 deps += [ "//media/base/android:media_jni_headers" ]
164 "//media/base/android:media_jni_headers",
165 ]
166 } 174 }
167 175
168 if (is_openbsd) { 176 if (is_openbsd) {
169 sources += [ 177 sources += [
170 "openbsd/audio_manager_openbsd.cc", 178 "openbsd/audio_manager_openbsd.cc",
171 "openbsd/audio_manager_openbsd.h", 179 "openbsd/audio_manager_openbsd.h",
172 ] 180 ]
173 } 181 }
174 182
175 if (is_linux) { 183 if (is_linux) {
176 sources += [ 184 sources += [ "linux/audio_manager_linux.cc" ]
177 "linux/audio_manager_linux.cc",
178 ]
179 } 185 }
180 186
181 if (use_alsa) { 187 if (use_alsa) {
182 libs += [ "asound" ] 188 libs += [ "asound" ]
183 defines = [ "USE_ALSA" ] 189 defines = [ "USE_ALSA" ]
184 sources += [ 190 sources += [
185 "alsa/alsa_input.cc", 191 "alsa/alsa_input.cc",
186 "alsa/alsa_input.h", 192 "alsa/alsa_input.h",
187 "alsa/alsa_output.cc", 193 "alsa/alsa_output.cc",
188 "alsa/alsa_output.h", 194 "alsa/alsa_output.h",
(...skipping 53 matching lines...) Expand 10 before | Expand all | Expand 10 after
242 sources = [ 248 sources = [
243 "clockless_audio_sink.cc", 249 "clockless_audio_sink.cc",
244 "clockless_audio_sink.h", 250 "clockless_audio_sink.h",
245 "mock_audio_manager.cc", 251 "mock_audio_manager.cc",
246 "mock_audio_manager.h", 252 "mock_audio_manager.h",
247 "mock_audio_source_callback.cc", 253 "mock_audio_source_callback.cc",
248 "mock_audio_source_callback.h", 254 "mock_audio_source_callback.h",
249 "test_audio_input_controller_factory.cc", 255 "test_audio_input_controller_factory.cc",
250 "test_audio_input_controller_factory.h", 256 "test_audio_input_controller_factory.h",
251 ] 257 ]
252 deps = [ "//testing/gmock" ] 258 deps = [
259 "//testing/gmock",
260 ]
253 configs += [ "//media:media_config" ] 261 configs += [ "//media:media_config" ]
254 } 262 }
255 263
256 source_set("unittests") { 264 source_set("unittests") {
257 testonly = true 265 testonly = true
258 sources = [ 266 sources = [
259 "audio_input_controller_unittest.cc", 267 "audio_input_controller_unittest.cc",
260 "audio_input_unittest.cc", 268 "audio_input_unittest.cc",
261 "audio_low_latency_input_output_unittest.cc", 269 "audio_low_latency_input_output_unittest.cc",
262 "audio_manager_unittest.cc", 270 "audio_manager_unittest.cc",
263 "audio_output_controller_unittest.cc", 271 "audio_output_controller_unittest.cc",
264 "audio_output_device_unittest.cc", 272 "audio_output_device_unittest.cc",
265 "audio_output_proxy_unittest.cc", 273 "audio_output_proxy_unittest.cc",
266 "audio_parameters_unittest.cc", 274 "audio_parameters_unittest.cc",
267 "audio_power_monitor_unittest.cc", 275 "audio_power_monitor_unittest.cc",
268 "fake_audio_consumer_unittest.cc", 276 "fake_audio_consumer_unittest.cc",
269 "simple_sources_unittest.cc", 277 "simple_sources_unittest.cc",
270 "virtual_audio_input_stream_unittest.cc", 278 "virtual_audio_input_stream_unittest.cc",
271 "virtual_audio_output_stream_unittest.cc", 279 "virtual_audio_output_stream_unittest.cc",
272 ] 280 ]
273 deps = [ 281 deps = [
274 ":test_support", 282 ":test_support",
275 "//testing/gmock", 283 "//testing/gmock",
276 "//testing/gtest", 284 "//testing/gtest",
277 ] 285 ]
278 configs += [ "//media:media_config" ] 286 configs += [ "//media:media_config" ]
279 287
280 if (is_android) { 288 if (is_android) {
281 sources += [ 289 sources += [ "android/audio_android_unittest.cc" ]
282 "android/audio_android_unittest.cc",
283 ]
284 } else { 290 } else {
285 sources += [ 291 sources += [ "audio_input_volume_unittest.cc" ]
286 "audio_input_volume_unittest.cc",
287 ]
288 } 292 }
289 293
290 if (is_mac) { 294 if (is_mac) {
291 sources += [ 295 sources += [
292 "mac/audio_auhal_mac_unittest.cc", 296 "mac/audio_auhal_mac_unittest.cc",
293 "mac/audio_device_listener_mac_unittest.cc", 297 "mac/audio_device_listener_mac_unittest.cc",
294 "mac/audio_low_latency_input_mac_unittest.cc", 298 "mac/audio_low_latency_input_mac_unittest.cc",
295 ] 299 ]
296 } 300 }
297 301
(...skipping 18 matching lines...) Expand all
316 sources += [ 320 sources += [
317 "win/audio_device_listener_win_unittest.cc", 321 "win/audio_device_listener_win_unittest.cc",
318 "win/audio_low_latency_input_win_unittest.cc", 322 "win/audio_low_latency_input_win_unittest.cc",
319 "win/audio_low_latency_output_win_unittest.cc", 323 "win/audio_low_latency_output_win_unittest.cc",
320 "win/audio_output_win_unittest.cc", 324 "win/audio_output_win_unittest.cc",
321 "win/core_audio_util_win_unittest.cc", 325 "win/core_audio_util_win_unittest.cc",
322 ] 326 ]
323 } 327 }
324 328
325 if (use_alsa) { 329 if (use_alsa) {
326 sources += [ 330 sources += [ "alsa/alsa_output_unittest.cc" ]
327 "alsa/alsa_output_unittest.cc",
328 ]
329 } 331 }
330 } 332 }
OLDNEW
« no previous file with comments | « media/BUILD.gn ('k') | media/base/BUILD.gn » ('j') | no next file with comments »

Powered by Google App Engine
This is Rietveld 408576698