Chromium Code Reviews
chromiumcodereview-hr@appspot.gserviceaccount.com (chromiumcodereview-hr) | Please choose your nickname with Settings | Help | Chromium Project | Gerrit Changes | Sign out
(69)

Side by Side Diff: third_party/agg23/agg_path_storage.cpp

Issue 2382723003: Move core/fxcrt/include to core/fxcrt (Closed)
Patch Set: Rebase to master Created 4 years, 2 months ago
Use n/p to move between diff chunks; N/P to move between comments. Draft comments are only viewable by you.
Jump to:
View unified diff | Download patch
« no previous file with comments | « third_party/agg23/agg_curves.cpp ('k') | third_party/agg23/agg_rasterizer_scanline_aa.h » ('j') | no next file with comments »
Toggle Intra-line Diffs ('i') | Expand Comments ('e') | Collapse Comments ('c') | Show Comments Hide Comments ('s')
OLDNEW
1 1
2 //---------------------------------------------------------------------------- 2 //----------------------------------------------------------------------------
3 // XYQ: 2006-01-22 Copied from AGG project. 3 // XYQ: 2006-01-22 Copied from AGG project.
4 // TODO: This file uses intensive floating point operations, so it's NOT suitabl e 4 // TODO: This file uses intensive floating point operations, so it's NOT suitabl e
5 // for platforms like Symbian OS. We need to change to FIX format. 5 // for platforms like Symbian OS. We need to change to FIX format.
6 //---------------------------------------------------------------------------- 6 //----------------------------------------------------------------------------
7 //---------------------------------------------------------------------------- 7 //----------------------------------------------------------------------------
8 // Anti-Grain Geometry - Version 2.3 8 // Anti-Grain Geometry - Version 2.3
9 // Copyright (C) 2002-2005 Maxim Shemanarev (http://www.antigrain.com) 9 // Copyright (C) 2002-2005 Maxim Shemanarev (http://www.antigrain.com)
10 // 10 //
11 // Permission to copy, use, modify, sell and distribute this software 11 // Permission to copy, use, modify, sell and distribute this software
12 // is granted provided this copyright notice appears in all copies. 12 // is granted provided this copyright notice appears in all copies.
13 // This software is provided "as is" without express or implied 13 // This software is provided "as is" without express or implied
14 // warranty, and with no claim as to its suitability for any purpose. 14 // warranty, and with no claim as to its suitability for any purpose.
15 // 15 //
16 //---------------------------------------------------------------------------- 16 //----------------------------------------------------------------------------
17 // Contact: mcseem@antigrain.com 17 // Contact: mcseem@antigrain.com
18 // mcseemagg@yahoo.com 18 // mcseemagg@yahoo.com
19 // http://www.antigrain.com 19 // http://www.antigrain.com
20 //---------------------------------------------------------------------------- 20 //----------------------------------------------------------------------------
21 // 21 //
22 // Class path_storage 22 // Class path_storage
23 // 23 //
24 //---------------------------------------------------------------------------- 24 //----------------------------------------------------------------------------
25 25
26 #include "agg_math.h" 26 #include "agg_math.h"
27 #include "agg_path_storage.h" 27 #include "agg_path_storage.h"
28 #include "core/fxcrt/include/fx_basic.h" 28 #include "core/fxcrt/fx_basic.h"
29 29
30 namespace agg 30 namespace agg
31 { 31 {
32 path_storage::~path_storage() 32 path_storage::~path_storage()
33 { 33 {
34 if(m_total_blocks) { 34 if(m_total_blocks) {
35 FX_FLOAT** coord_blk = m_coord_blocks + m_total_blocks - 1; 35 FX_FLOAT** coord_blk = m_coord_blocks + m_total_blocks - 1;
36 while(m_total_blocks--) { 36 while(m_total_blocks--) {
37 FX_Free(*coord_blk); 37 FX_Free(*coord_blk);
38 --coord_blk; 38 --coord_blk;
(...skipping 52 matching lines...) Expand 10 before | Expand all | Expand 10 after
91 } 91 }
92 void path_storage::end_poly() 92 void path_storage::end_poly()
93 { 93 {
94 if(m_total_vertices) { 94 if(m_total_vertices) {
95 if(is_vertex(command(m_total_vertices - 1))) { 95 if(is_vertex(command(m_total_vertices - 1))) {
96 add_vertex(0, 0, path_cmd_end_poly | path_flags_close); 96 add_vertex(0, 0, path_cmd_end_poly | path_flags_close);
97 } 97 }
98 } 98 }
99 } 99 }
100 } 100 }
OLDNEW
« no previous file with comments | « third_party/agg23/agg_curves.cpp ('k') | third_party/agg23/agg_rasterizer_scanline_aa.h » ('j') | no next file with comments »

Powered by Google App Engine
This is Rietveld 408576698