Chromium Code Reviews
chromiumcodereview-hr@appspot.gserviceaccount.com (chromiumcodereview-hr) | Please choose your nickname with Settings | Help | Chromium Project | Gerrit Changes | Sign out
(61)

Side by Side Diff: lib/src/prism/tests/languages/verilog/keyword_feature.test

Issue 1418513006: update elements and fix some bugs (Closed) Base URL: git@github.com:dart-lang/polymer_elements.git@master
Patch Set: code review updates Created 5 years, 1 month ago
Use n/p to move between diff chunks; N/P to move between comments. Draft comments are only viewable by you.
Jump to:
View unified diff | Download patch
OLDNEW
(Empty)
1 alias
2 and
3 assert
4 assign
5 assume
6 automatic
7 before
8 begin
9 bind
10 bins
11 binsof
12 bit
13 break
14 buf
15 bufif0
16 bufif1
17 byte
18 class
19 case
20 casex
21 casez
22 cell
23 chandle
24 clocking
25 cmos
26 config
27 const
28 constraint
29 context
30 continue
31 cover
32 covergroup
33 coverpoint
34 cross
35 deassign
36 default
37 defparam
38 design
39 disable
40 dist
41 do
42 edge
43 else
44 end
45 endcase
46 endclass
47 endclocking
48 endconfig
49 endfunction
50 endgenerate
51 endgroup
52 endinterface
53 endmodule
54 endpackage
55 endprimitive
56 endprogram
57 endproperty
58 endspecify
59 endsequence
60 endtable
61 endtask
62 enum
63 event
64 expect
65 export
66 extends
67 extern
68 final
69 first_match
70 for
71 force
72 foreach
73 forever
74 fork
75 forkjoin
76 function
77 generate
78 genvar
79 highz0
80 highz1
81 if
82 iff
83 ifnone
84 ignore_bins
85 illegal_bins
86 import
87 incdir
88 include
89 initial
90 inout
91 input
92 inside
93 instance
94 int
95 integer
96 interface
97 intersect
98 join
99 join_any
100 join_none
101 large
102 liblist
103 library
104 local
105 localparam
106 logic
107 longint
108 macromodule
109 matches
110 medium
111 modport
112 module
113 nand
114 negedge
115 new
116 nmos
117 nor
118 noshowcancelled
119 not
120 notif0
121 notif1
122 null
123 or
124 output
125 package
126 packed
127 parameter
128 pmos
129 posedge
130 primitive
131 priority
132 program
133 property
134 protected
135 pull0
136 pull1
137 pulldown
138 pullup
139 pulsestyle_onevent
140 pulsestyle_ondetect
141 pure
142 rand
143 randc
144 randcase
145 randsequence
146 rcmos
147 real
148 realtime
149 ref
150 reg
151 release
152 repeat
153 return
154 rnmos
155 rpmos
156 rtran
157 rtranif0
158 rtranif1
159 scalared
160 sequence
161 shortint
162 shortreal
163 showcancelled
164 signed
165 small
166 solve
167 specify
168 specparam
169 static
170 string
171 strong0
172 strong1
173 struct
174 super
175 supply0
176 supply1
177 table
178 tagged
179 task
180 this
181 throughout
182 time
183 timeprecision
184 timeunit
185 tran
186 tranif0
187 tranif1
188 tri
189 tri0
190 tri1
191 triand
192 trior
193 trireg
194 type
195 typedef
196 union
197 unique
198 unsigned
199 use
200 uwire
201 var
202 vectored
203 virtual
204 void
205 wait
206 wait_order
207 wand
208 weak0
209 weak1
210 while
211 wildcard
212 wire
213 with
214 within
215 wor
216 xnor
217 xor
218
219 ----------------------------------------------------
220
221 [
222 ["keyword", "alias"],
223 ["keyword", "and"],
224 ["keyword", "assert"],
225 ["keyword", "assign"],
226 ["keyword", "assume"],
227 ["keyword", "automatic"],
228 ["keyword", "before"],
229 ["keyword", "begin"],
230 ["keyword", "bind"],
231 ["keyword", "bins"],
232 ["keyword", "binsof"],
233 ["keyword", "bit"],
234 ["keyword", "break"],
235 ["keyword", "buf"],
236 ["keyword", "bufif0"],
237 ["keyword", "bufif1"],
238 ["keyword", "byte"],
239 ["keyword", "class"],
240 ["keyword", "case"],
241 ["keyword", "casex"],
242 ["keyword", "casez"],
243 ["keyword", "cell"],
244 ["keyword", "chandle"],
245 ["keyword", "clocking"],
246 ["keyword", "cmos"],
247 ["keyword", "config"],
248 ["keyword", "const"],
249 ["keyword", "constraint"],
250 ["keyword", "context"],
251 ["keyword", "continue"],
252 ["keyword", "cover"],
253 ["keyword", "covergroup"],
254 ["keyword", "coverpoint"],
255 ["keyword", "cross"],
256 ["keyword", "deassign"],
257 ["keyword", "default"],
258 ["keyword", "defparam"],
259 ["keyword", "design"],
260 ["keyword", "disable"],
261 ["keyword", "dist"],
262 ["keyword", "do"],
263 ["keyword", "edge"],
264 ["keyword", "else"],
265 ["keyword", "end"],
266 ["keyword", "endcase"],
267 ["keyword", "endclass"],
268 ["keyword", "endclocking"],
269 ["keyword", "endconfig"],
270 ["keyword", "endfunction"],
271 ["keyword", "endgenerate"],
272 ["keyword", "endgroup"],
273 ["keyword", "endinterface"],
274 ["keyword", "endmodule"],
275 ["keyword", "endpackage"],
276 ["keyword", "endprimitive"],
277 ["keyword", "endprogram"],
278 ["keyword", "endproperty"],
279 ["keyword", "endspecify"],
280 ["keyword", "endsequence"],
281 ["keyword", "endtable"],
282 ["keyword", "endtask"],
283 ["keyword", "enum"],
284 ["keyword", "event"],
285 ["keyword", "expect"],
286 ["keyword", "export"],
287 ["keyword", "extends"],
288 ["keyword", "extern"],
289 ["keyword", "final"],
290 ["keyword", "first_match"],
291 ["keyword", "for"],
292 ["keyword", "force"],
293 ["keyword", "foreach"],
294 ["keyword", "forever"],
295 ["keyword", "fork"],
296 ["keyword", "forkjoin"],
297 ["keyword", "function"],
298 ["keyword", "generate"],
299 ["keyword", "genvar"],
300 ["keyword", "highz0"],
301 ["keyword", "highz1"],
302 ["keyword", "if"],
303 ["keyword", "iff"],
304 ["keyword", "ifnone"],
305 ["keyword", "ignore_bins"],
306 ["keyword", "illegal_bins"],
307 ["keyword", "import"],
308 ["keyword", "incdir"],
309 ["keyword", "include"],
310 ["keyword", "initial"],
311 ["keyword", "inout"],
312 ["keyword", "input"],
313 ["keyword", "inside"],
314 ["keyword", "instance"],
315 ["keyword", "int"],
316 ["keyword", "integer"],
317 ["keyword", "interface"],
318 ["keyword", "intersect"],
319 ["keyword", "join"],
320 ["keyword", "join_any"],
321 ["keyword", "join_none"],
322 ["keyword", "large"],
323 ["keyword", "liblist"],
324 ["keyword", "library"],
325 ["keyword", "local"],
326 ["keyword", "localparam"],
327 ["keyword", "logic"],
328 ["keyword", "longint"],
329 ["keyword", "macromodule"],
330 ["keyword", "matches"],
331 ["keyword", "medium"],
332 ["keyword", "modport"],
333 ["keyword", "module"],
334 ["keyword", "nand"],
335 ["keyword", "negedge"],
336 ["keyword", "new"],
337 ["keyword", "nmos"],
338 ["keyword", "nor"],
339 ["keyword", "noshowcancelled"],
340 ["keyword", "not"],
341 ["keyword", "notif0"],
342 ["keyword", "notif1"],
343 ["keyword", "null"],
344 ["keyword", "or"],
345 ["keyword", "output"],
346 ["keyword", "package"],
347 ["keyword", "packed"],
348 ["keyword", "parameter"],
349 ["keyword", "pmos"],
350 ["keyword", "posedge"],
351 ["keyword", "primitive"],
352 ["keyword", "priority"],
353 ["keyword", "program"],
354 ["keyword", "property"],
355 ["keyword", "protected"],
356 ["keyword", "pull0"],
357 ["keyword", "pull1"],
358 ["keyword", "pulldown"],
359 ["keyword", "pullup"],
360 ["keyword", "pulsestyle_onevent"],
361 ["keyword", "pulsestyle_ondetect"],
362 ["keyword", "pure"],
363 ["keyword", "rand"],
364 ["keyword", "randc"],
365 ["keyword", "randcase"],
366 ["keyword", "randsequence"],
367 ["keyword", "rcmos"],
368 ["keyword", "real"],
369 ["keyword", "realtime"],
370 ["keyword", "ref"],
371 ["keyword", "reg"],
372 ["keyword", "release"],
373 ["keyword", "repeat"],
374 ["keyword", "return"],
375 ["keyword", "rnmos"],
376 ["keyword", "rpmos"],
377 ["keyword", "rtran"],
378 ["keyword", "rtranif0"],
379 ["keyword", "rtranif1"],
380 ["keyword", "scalared"],
381 ["keyword", "sequence"],
382 ["keyword", "shortint"],
383 ["keyword", "shortreal"],
384 ["keyword", "showcancelled"],
385 ["keyword", "signed"],
386 ["keyword", "small"],
387 ["keyword", "solve"],
388 ["keyword", "specify"],
389 ["keyword", "specparam"],
390 ["keyword", "static"],
391 ["keyword", "string"],
392 ["keyword", "strong0"],
393 ["keyword", "strong1"],
394 ["keyword", "struct"],
395 ["keyword", "super"],
396 ["keyword", "supply0"],
397 ["keyword", "supply1"],
398 ["keyword", "table"],
399 ["keyword", "tagged"],
400 ["keyword", "task"],
401 ["keyword", "this"],
402 ["keyword", "throughout"],
403 ["keyword", "time"],
404 ["keyword", "timeprecision"],
405 ["keyword", "timeunit"],
406 ["keyword", "tran"],
407 ["keyword", "tranif0"],
408 ["keyword", "tranif1"],
409 ["keyword", "tri"],
410 ["keyword", "tri0"],
411 ["keyword", "tri1"],
412 ["keyword", "triand"],
413 ["keyword", "trior"],
414 ["keyword", "trireg"],
415 ["keyword", "type"],
416 ["keyword", "typedef"],
417 ["keyword", "union"],
418 ["keyword", "unique"],
419 ["keyword", "unsigned"],
420 ["keyword", "use"],
421 ["keyword", "uwire"],
422 ["keyword", "var"],
423 ["keyword", "vectored"],
424 ["keyword", "virtual"],
425 ["keyword", "void"],
426 ["keyword", "wait"],
427 ["keyword", "wait_order"],
428 ["keyword", "wand"],
429 ["keyword", "weak0"],
430 ["keyword", "weak1"],
431 ["keyword", "while"],
432 ["keyword", "wildcard"],
433 ["keyword", "wire"],
434 ["keyword", "with"],
435 ["keyword", "within"],
436 ["keyword", "wor"],
437 ["keyword", "xnor"],
438 ["keyword", "xor"]
439 ]
440
441 ----------------------------------------------------
442
443 Checks for keywords.
OLDNEW

Powered by Google App Engine
This is Rietveld 408576698