OLD | NEW |
(Empty) | |
| 1 Prism.languages.verilog = { |
| 2 'comment': /\/\/.*|\/\*[\w\W]*?\*\//, |
| 3 'string': /"(?:\\(?:\r\n|[\s\S])|[^"\\\r\n])*"/, |
| 4 // support for any kernel function (ex: $display()) |
| 5 'property': /\B\$\w+\b/, |
| 6 // support for user defined constants (ex: `define) |
| 7 'constant': /\B`\w+\b/, |
| 8 'function': /[a-z\d_]+(?=\()/i, |
| 9 // support for verilog and system verilog keywords |
| 10 'keyword': /\b(?:alias|and|assert|assign|assume|automatic|before|begin|bind|bi
ns|binsof|bit|break|buf|bufif0|bufif1|byte|class|case|casex|casez|cell|chandle|c
locking|cmos|config|const|constraint|context|continue|cover|covergroup|coverpoin
t|cross|deassign|default|defparam|design|disable|dist|do|edge|else|end|endcase|e
ndclass|endclocking|endconfig|endfunction|endgenerate|endgroup|endinterface|endm
odule|endpackage|endprimitive|endprogram|endproperty|endspecify|endsequence|endt
able|endtask|enum|event|expect|export|extends|extern|final|first_match|for|force
|foreach|forever|fork|forkjoin|function|generate|genvar|highz0|highz1|if|iff|ifn
one|ignore_bins|illegal_bins|import|incdir|include|initial|inout|input|inside|in
stance|int|integer|interface|intersect|join|join_any|join_none|large|liblist|lib
rary|local|localparam|logic|longint|macromodule|matches|medium|modport|module|na
nd|negedge|new|nmos|nor|noshowcancelled|not|notif0|notif1|null|or|output|package
|packed|parameter|pmos|posedge|primitive|priority|program|property|protected|pul
l0|pull1|pulldown|pullup|pulsestyle_onevent|pulsestyle_ondetect|pure|rand|randc|
randcase|randsequence|rcmos|real|realtime|ref|reg|release|repeat|return|rnmos|rp
mos|rtran|rtranif0|rtranif1|scalared|sequence|shortint|shortreal|showcancelled|s
igned|small|solve|specify|specparam|static|string|strong0|strong1|struct|super|s
upply0|supply1|table|tagged|task|this|throughout|time|timeprecision|timeunit|tra
n|tranif0|tranif1|tri|tri0|tri1|triand|trior|trireg|type|typedef|union|unique|un
signed|use|uwire|var|vectored|virtual|void|wait|wait_order|wand|weak0|weak1|whil
e|wildcard|wire|with|within|wor|xnor|xor)\b/, |
| 11 // bold highlighting for all verilog and system verilog logic blocks |
| 12 'important': /\b(?:always_latch|always_comb|always_ff|always)\b ?@?/, |
| 13 // support for time ticks, vectors, and real numbers |
| 14 'number': /\B##?\d+|(?:\b\d+)?'[odbh] ?[\da-fzx_?]+|\b\d*[._]?\d+(?:e[-+]?\d+)
?/i, |
| 15 'operator': /[-+{}^~%*\/?=!<>&|]+/, |
| 16 'punctuation': /[[\];(),.:]/ |
| 17 }; |
OLD | NEW |