Chromium Code Reviews
chromiumcodereview-hr@appspot.gserviceaccount.com (chromiumcodereview-hr) | Please choose your nickname with Settings | Help | Chromium Project | Gerrit Changes | Sign out
(208)

Side by Side Diff: opcodes/mt-dis.c

Issue 11969036: Merge GDB 7.5.1 (Closed) Base URL: http://git.chromium.org/native_client/nacl-gdb.git@master
Patch Set: Created 7 years, 11 months ago
Use n/p to move between diff chunks; N/P to move between comments. Draft comments are only viewable by you.
Jump to:
View unified diff | Download patch
« no previous file with comments | « opcodes/msp430-dis.c ('k') | opcodes/or32-dis.c » ('j') | no next file with comments »
Toggle Intra-line Diffs ('i') | Expand Comments ('e') | Collapse Comments ('c') | Show Comments Hide Comments ('s')
None
OLDNEW
1 /* Disassembler interface for targets using CGEN. -*- C -*- 1 /* Disassembler interface for targets using CGEN. -*- C -*-
2 CGEN: Cpu tools GENerator 2 CGEN: Cpu tools GENerator
3 3
4 THIS FILE IS MACHINE GENERATED WITH CGEN. 4 THIS FILE IS MACHINE GENERATED WITH CGEN.
5 - the resultant file is machine generated, cgen-dis.in isn't 5 - the resultant file is machine generated, cgen-dis.in isn't
6 6
7 Copyright 1996, 1997, 1998, 1999, 2000, 2001, 2002, 2003, 2005, 2007, 7 Copyright 1996, 1997, 1998, 1999, 2000, 2001, 2002, 2003, 2005, 2007,
8 2008, 2010 Free Software Foundation, Inc. 8 2008, 2010 Free Software Foundation, Inc.
9 9
10 This file is part of libopcodes. 10 This file is part of libopcodes.
(...skipping 51 matching lines...) Expand 10 before | Expand all | Expand 10 after
62 /* -- dis.c */ 62 /* -- dis.c */
63 static void print_dollarhex (CGEN_CPU_DESC, PTR, long, unsigned, bfd_vma, int); 63 static void print_dollarhex (CGEN_CPU_DESC, PTR, long, unsigned, bfd_vma, int);
64 static void print_pcrel (CGEN_CPU_DESC, PTR, long, unsigned, bfd_vma, int); 64 static void print_pcrel (CGEN_CPU_DESC, PTR, long, unsigned, bfd_vma, int);
65 65
66 static void 66 static void
67 print_dollarhex (CGEN_CPU_DESC cd ATTRIBUTE_UNUSED, 67 print_dollarhex (CGEN_CPU_DESC cd ATTRIBUTE_UNUSED,
68 void * dis_info, 68 void * dis_info,
69 long value, 69 long value,
70 unsigned int attrs ATTRIBUTE_UNUSED, 70 unsigned int attrs ATTRIBUTE_UNUSED,
71 bfd_vma pc ATTRIBUTE_UNUSED, 71 bfd_vma pc ATTRIBUTE_UNUSED,

error: old chunk mismatch

OLDNEW
« no previous file with comments | « opcodes/msp430-dis.c ('k') | opcodes/or32-dis.c » ('j') | no next file with comments »

Powered by Google App Engine
This is Rietveld 408576698